首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 47 毫秒
1.
星载SRAM型FPGA可靠性快速评估技术   总被引:1,自引:1,他引:0  
空间辐射环境严重影响星载SRAM (Static Random Access Memory)型FPGA (Field Programmable Gate Array)的可靠性,提出了星载SRAM型FPGA可靠性快速验证评估方法.在传统故障注入验证的基础上,引入可靠性预评估技术,在逻辑门级分析单粒子翻转对FPGA配置信息位的影响,同时对TMR (Triple Modular Redundancy)冗余方式进行单粒子翻转关键位置评估.然后构成不同敏感级别的故障序列,最后根据应用需求选择不同故障序列进行故障注入从而有效快速评估系统可靠性.该方法与逐位翻转相比,能够在保证故障覆盖率的同时,有效地减少实验时间,提高实验效率.  相似文献   

2.
基于Scrubbing的空间SRAM型FPGA抗单粒子翻转系统设计   总被引:2,自引:1,他引:1  
基于SRAM工艺的FPGA在空间环境下容易受到单粒子翻转(Single Event Upsets, SEU)的影响而导致信息丢失或功能中断. 在详细讨论三模冗余(Triple Modular Redundancy, TMR)和刷新(Scrubbing)的重要原理及实现细节的基 础上, 实现了一种高可靠性、TMR+Scrubbing+Reload的容错系统设计, 用反熔丝型FPGA对SRAM型FPGA的配置数据进行毫秒级周期刷新, 同时对两个FPGA均做TMR处理. 该容错设计已实际应用于航天器电子系统, 可为高可靠性电子系统设计提供参考.   相似文献   

3.
SRAM型FPGA的抗SEU方法研究   总被引:3,自引:0,他引:3  
通过分析静态随机访问存储器(Static Random Access Memorg,SRAM)型现场可编程门阵列(Field Programable Gate Array,FPGA)遭受空间单粒子翻转(SEU)效应的影响,并比较几种常见的抗SEU技术:三模冗余(Triple Module Redwcdancy,TMR)、纠错码(Error Correction Code,ECC)和擦洗(Scrubbing),提出了一种硬件、时间冗余相结合的基于双模块冗余比较的抗SEU设计方法。在FPGA平台上对线性反馈移位寄存器(Linear Feedback Shift Register,LFSR)逻辑进行软件仿真的抗SEU验证实现,将各种容错设计方法实现后获得的实验数据进行分析比较。结果表明,64阶LFSR的抗SEU容错开销与基于硬件的TMR方法相比,可以节省92%的冗余逻辑资源;与基于时间的TMR相比,附加时间延迟缩短26%。  相似文献   

4.
星用大容量静态存储器多位翻转实验研究   总被引:1,自引:0,他引:1  
给出典型大容量静态存储器(SRAM)的多位翻转实验研究 结果。用HI-13串列型静电加速器和兰州重离子加速器(HIRFL)加速的重离子轰击样品,用 一套基于 网络协议的高分辨率SRAM单粒子效应检测系统检测发生的多位翻转。实验结果表明多位翻转 可以由多种机制产生:在两种Hitachi SRAM中检测到的同一字节多位翻转(SMU)是由单个离 子产生的电荷被相邻敏感节点共享所致;当IDT71256中写入测试图形“00”时,其外围电路 中产生的单粒子瞬时脉冲(SET)引起多达8位的SMU;离子大角度掠射下,IDT71256中检测到 了同一事件多位翻转(SEMU)。同时预示了两种Hitachi大容量SRAM在地球同步轨道和两条太 阳同步轨道发生SMU的频度。  相似文献   

5.
星用SRAM型FPGA的故障模式分析和容错方法研究   总被引:2,自引:0,他引:2  
静态存储器(SRAM,static random access memory)型现场可编程门阵列(FPGA,field programmable gate array)是一种对空间辐射效应较为敏感的航天器电子元器件。由于其特有的构造和工作方式,单粒子辐射效应对SRAM型FPGA造成的影响及其引起的故障模式有着区别于一般电子元器件的特征。为了提高SRAM型FPGA在空间应用中的可靠性,以该类型FPGA的主流器件作为研究对象,深入分析了FPGA在空间应用中的各种故障模式,研究了相应的各种容错方法。研究表明,通过采取适当的FPGA容错方法,能够有效降低SRAM型FPGA因空间辐射而发生故障的可能性。  相似文献   

6.
分析FPGA的配置数据帧格式以及整个器件中配置数据帧的类型和分布,在此基础上给出具体的位流回读故障检测方法和重配置容错方法,最后给出了实现该容错方法的一个实例.论文研究可为星上拟采用基于SRAM的FPGA的各分系统电路容错设计所借鉴.  相似文献   

7.
航天应用FPGA配置可靠性研究   总被引:5,自引:1,他引:4  
航天应用系统必须保证每一单元的安全性及可靠性. 现场可编程门阵列(Field Programmable Gate Array, FPGA), 以其I/O管脚丰富、设计灵活等优势, 逐渐被广泛应用于航天领域. 其设计与工艺不断完善, 以适应太空中电子辐射等复杂的工作环境. 由于基于SRAM的FPGA芯片断电后程序丢失, 因此每次上电后都需要先从PROM等外部存储器中加载程序才能正常工作. 然而, 并不是每一个芯片的每一次加载配置都能成功完成, FPGA的上电配置结果将直接关系到卫星任务的成败. 研究发现, 诸如环境温度、信号完整性、供电电压、配置时钟速率等因素会影响FPGA的配置过程, 致使出现偶尔的配置失败, 这在航天应用中是绝对不允许的. 针对实际应用的Xilinx公司FPGA芯片, 为提高上电配置可靠性, 提出了一系列设计保障措施, 在FPGA航天应用领域具有一定的参考价值.   相似文献   

8.
基于Geant4和TCAD (Technology-Computer Aided-Design)建立了一套评估静态存储器(SRAM)单粒子效应的方法. 该方法利用TCAD软件模拟半导体存储单元对粒子能量沉积的响应, 获得SRAM的重离子单粒子翻转截面, 并应用蒙特卡罗工具包Geant 4计算质子与硅材料的核反应以及次级粒子在灵敏体积内的能量沉积, 进而获得质子的单粒子翻转率. 利用该方法, 计算了TSMC 0.18 μm未加固SRAM的重离子和质子翻转率, 通过与同工艺SRAM的重离子实验结果进行比较, 初步验证了该方法的有效性. 该方法不依赖于地面模拟实验, 可以用来评估处于设计阶段的抗辐射加固器件.   相似文献   

9.
SRAM型FPGA单粒子翻转效应加固方法   总被引:2,自引:2,他引:0  
应用重离子加速器和皮秒脉冲激光器开展Virtex-Ⅱ FPGA(Field Programmable Gate Array)单粒子效应加固方法有效性研究.实验结果表明,同时应用三模冗余和动态刷新加固方法能够完全纠正单粒子效应产生的功能错误.实验获得数据加密算法在不同单粒子效应加固方法下功能错误截面,发现少量的存储位翻转就可以导致程序功能错误;程序功能对存储位翻转较敏感.分析Virtex-Ⅱ FPGA不同加固方法在不同卫星轨道的有效性,同时应用动态刷新和三模冗余加固方法,可以完全校正由于存储位翻转造成的功能错误.重离子加速器和脉冲激光器实验结果同时表明,脉冲激光可以模拟重离子加速器研究单粒子效应加固方法有效性.  相似文献   

10.
使用Space Radiation 7.0工具分析临近空间中子辐射环境,研究其与海拔高度、太阳活动和经纬度的关系及内在原因.在此基础上,提出了一种基于蒙特卡罗方法的大气中子实时错误率预计方法,并以航空电子系统关键集成电路FPGA为例,预计其单粒子翻转敏感模块包括配置存储器、块存储器和用户触发器,单粒子功能中断敏感模块包括上电复位电路、SelectMAP接口等的实时飞行错误率.结果表明,配置存储器中发生的单粒子翻转达到总单粒子翻转率的77%,而上电复位电路和SelectMAP接口中发生的单粒子功能中断各占总单粒子功能中断率的36%.根据RTCA DO-254对飞行系统失效等级的划分,该FPGA器件不可用于航空电子系统关键位置.   相似文献   

11.
应用GO-FLOW法分析了飞机电静液作动器(EHA)的可靠性。首先在EHA单元功能合理划分的基础上,建立了EHA的GO-FLOW可靠性分析模型,采用布尔代数求解描述反馈环的布尔方程,解决了GO-FLOW图不允许存在循环的难题;其次进行了GO-FLOW运算,得到EHA系统在各时间点的可靠度;再次与GO法的结果比较,验证了GO-FLOW法的可行性和准确性;最后通过MATLAB曲线拟合,得到系统可靠度随时间的变化规律,以便及时对系统进行检修和维护。结果表明GO-FLOW法只需一次运算,就可得到系统在各时间点的可靠度,在减小计算复杂度方面较GO法有优势。  相似文献   

12.
针对飞机电气线路互联系统(EWIS)差异性大、随时间退化严重、可靠性建模困难等问题,将通用生成函数(UGF)和GO法融合,提出了基于UGF-GO法的EWIS退化可靠性分析方法。首先,考虑EWIS各连接部件使用性能及环境的差异性,利用含随机参数的Wiener退化过程模型建立部件可靠性仿真模型,采用马尔可夫链蒙特卡罗(MCMC)算法对模型中的未知参数进行估计,并与传统二步法参数估计值进行对比,得到较为精确的系统部件退化可靠性曲线。其次,在分析系统退化可靠性时,利用UGF-GO法对某飞机EWIS结构可靠性进行建模及计算。最后,以某飞机电气线路互联系统为例,结合部件退化可靠性计算结果,评估系统在不同给定阈值下可靠性水平。结果表明:UGF-GO法可有效解决系统退化状态的可靠性分析问题。   相似文献   

13.
基于多应力加速试验方法的智能电表寿命评估   总被引:2,自引:1,他引:1  
如何准确地分析、评估多应力-多参数下智能电表的可靠性和寿命是当前热点.首先分析了在温度、湿度、电应力、振动和磁场等条件下智能电表的性能参数内涵,通过失效机理分析提炼了关键参数及其敏感应力,然后通过强化试验探索了关键参数应力极限条件,设计了加速寿命试验方案并实施,对试验数据进行退化轨迹建模、多应力加速模型研究,综合评估了智能电表可靠性和寿命水平.本文成果能为改善智能电表可靠性和寿命提供方法.   相似文献   

14.
    
传统的加速退化试验(ADT)评估方法基于精确退化数据进行可靠性和寿命评估,然而考虑到测量中人的不确定因素,试验数据可能是区间型而非精确数据.针对此类问题,基于维纳过程提出一种采用区间分析的加速退化试验寿命评估方法,区间分析方法包括可能性模型和必要性模型.首先基于区间回归将各加速应力条件下的区间退化数据的建模分析问题转化为二次规划问题,利用可能性模型获取各应力条件下的漂移系数区间和扩散系数.然后利用必要性模型结合加速模型,外推得到正常工作应力条件下的漂移系数区间,进而分析测量不确定性与可靠性和寿命评估结果的关系.最后通过数值案例对提出的方法进行阐述和验证,并进行不确定性敏感性分析.结果表明,可靠性和寿命评估结果受测量中认知不确定性的影响,降低该不确定性水平能够保证评估结果的合理性.  相似文献   

15.
考虑到结构系统参数的不确定性,基于提出的非概率凸模型可靠性指标的新定义,研究了在非概率凸模型可靠性约束下,结构优化设计的数学模型和求解方法.该方法通过超椭球域界定不确定参量,将结构基本不确定变量安全域的超体积与其可行域的超椭球总体积之比作为结构非概率可靠性的度量.并赋值可靠度作为约束条件,利用乘子法和阻尼牛顿法对结构的优化问题进行迭代求解,算法稳定,迭代速度快.数值算例验证了所提出方法的正确性.  相似文献   

16.
为提高机载视频编码系统的数据采集及预处理性能,以现场可编程门阵列(FPGA)为硬件平台,研究了多模式机载视频采集、颜色空间转换和视频数据传输的高效处理方法.针对机载应用需要实时采集不同模式视频的特点,设计了一种可靠的视频采集策略,通过引入错误自检机制,可以实时监测视频采集的正确性,避免视频数据的错误积累;针对机载视频颜色空间转换预处理中浮点乘法浪费计算资源和增加系统功耗的问题,设计了一种基于高低位分离的截断式查找表乘法器,减少了存储空间和计算位宽,结合流水线处理技术实现了一种高效视频颜色空间转换方法,在保证计算精度和性能的同时,处理功耗最大降低了27%;针对FPGA处理器与系统核心编码处理器(DSP)之间存在大量视频数据的频繁传输特点,结合SRIO(Serial Rapid I/O)链路的传输方式,设计了一种以FPGA为控制核心的数据交互机制,减轻了DSP的处理负担使其专注于视频编码运算,提高系统性能.   相似文献   

17.
可靠性增长的单调约束模型   总被引:2,自引:1,他引:1  
大型复杂产品研制过程中每一阶段的试验数据通常具有小样本特征.对此在产品可靠性评估中,提出使用约束条件,即"下一阶段可靠度真值总是大于前一阶段",综合利用产品多阶段试验数据的方法.产品研制过程中可靠性通常是增长的,因此这种方法具有广泛的适用性.在上述约束条件下,可靠度被看作一个随机变量.首先推导出了可靠度在此条件下的分布函数表达式,然后给出了一种近似计算方法,最后通过一个实例与仅使用单阶段试验数据的评估结果作了对比.由于该方法的约束条件简单且可以求得可靠度的分布函数,因此其工程适应性强于传统的AMSAA(Army Materiel System Analysis Activity)模型,并能获得Duane模型无法给出的可靠度区间估计.  相似文献   

18.
在成本限制下,为使系统的可靠度最大,系统采用表决冗余结构,并对具有表决冗余结构的系统可靠度和冗余度同时进行优化和分配,优化方法采用增广拉格朗日乘子法和鱼群算法相结合的混合算法;不仅分析了成本与可靠度的关系,同时讨论了表决器失效率对系统可靠度的影响;最后,以某型飞机的纵向俯仰运动飞行控制系统为例,仿真说明了在成本约束下,为使系统可靠度尽可能高,系统采用四余度配置更合理,且在只有单个表决条件下,应选取可靠度尽可能高的表决器.为避免单个表决器失效对系统可靠度的影响,实际系统中常选择多表决器冗余结构.  相似文献   

19.
针对二分法计算拉格朗日乘子时收敛速度较慢的问题, 提出了拉格朗日乘子计算方法, 应用于优化准则(OC)法和导重(GW)法2种密度更新方法, 并与二分法进行了对比。建立体积约束下柔度最小的拓扑优化模型;通过固体各向同性材料惩罚(SIMP)法或材料属性有理近似(RAMP)法计算单元的弹性模量;通过所提方法计算拉格朗日乘子, 并通过导重法更新单元密度;通过Heaviside投影函数减少灰度单元的数量。计算结果表明:虽然所提方法对有限元分析次数并没有显著改进, 但计算拉格朗日乘子所用CPU时间少于二分法, 且密度更新次数降低至50%以下;在2个数值算例中, 采用SIMP模型时, 导重法所得结构柔度比OC法更小, 能够得到刚度更高的结构。   相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号