首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于半监督集成学习的多核设计空间探索
引用本文:李丹丹,姚淑珍,王颖,王森章,谭火彬.基于半监督集成学习的多核设计空间探索[J].北京航空航天大学学报,2018,44(4):792-801.
作者姓名:李丹丹  姚淑珍  王颖  王森章  谭火彬
作者单位:1.北京航空航天大学计算机学院, 北京 100083
基金项目:航空科学基金(2013ZC51023) Aeronautical Science Foundation of China(2013ZC51023)
摘    要:随着处理器的系统结构日趋复杂,设计空间呈指数式增长,并且软件模拟技术极为费时,成为处理器设计的重要挑战。提出了一种结合集成学习和半监督学习技术的高效设计空间探索方法。具体而言,该方法包括2个阶段:使用均匀随机采样方法从处理器设计空间中选择一小组具有代表性的设计点,通过模拟获得性能响应,从而组成训练数据集;提出基于半监督学习的AdaBoost(SSLBoost)模型预测未模拟的样本配置的响应,从而搜索最优的处理器设计配置。实验结果表明,与现有的基于人工神经网络和支持向量机(SVM)的有监督预测模型相比,SSLBoost模型能够使用更少的模拟样本构建出不差于现有方法性能的预测模型;而当模拟样本数量相同时,SSLBoost模型的预测精度更高。 

关 键 词:设计空间探索    半监督学习    集成学习    AdaBoost    微处理器    预测模型
收稿时间:2017-05-11

Multicore design space exploration via semi-supervised ensemble learning
LI Dandan,YAO Shuzhen,WANG Ying,WANG Senzhang,TAN Huobin.Multicore design space exploration via semi-supervised ensemble learning[J].Journal of Beijing University of Aeronautics and Astronautics,2018,44(4):792-801.
Authors:LI Dandan  YAO Shuzhen  WANG Ying  WANG Senzhang  TAN Huobin
Institution:1.School of Computer Science and Engineering, Beijing University of Aeronautics and Astronautics, Beijing 100083, China2.State Key Laboratory of Computer Architecture, Institute of Computing Technology, Chinese Academy of Sciences, Beijing 100190, China3.College of Computer Science and Technology, Nanjing University of Aeronautics and Astronautics, Nanjing 211106, China4.School of Software, Beijing University of Aeronautics and Astronautics, Beijing 100083, China
Abstract:With the increasing complexity of microprocessor architecture, the design space is growing exponentially and the software simulation technology is extremely time-consuming.Design space exploration becomes one major challenge when processors are designed.The paper proposed an efficient design space ex-ploration method combining semi-supervised learning and ensemble learning techniques.Specifically, it in-cludes two phases:uniform random sampling method is firstly employed to select a small set of representative design points,and then simulation is conducted with the points to constitute the training set;semi-supervised learning based AdaBoost(SSLBoost)model is further proposed to predict the responses of the configurations that have not been simulated.Then the optimal processor design configuration is found.The experimental re-sults demonstrate that compared with the prediction models based on the existing artificial neural network and support vector machine(SVM),the proposed SSLBoost model can build a comparable accurate model using fewer simulations.When the number of simulation examples is fixed, the prediction accuracy of SSLBoost model is higher.
Keywords:design space exploration  semi-supervised learning  ensemble learning  AdaBoost  microprocessor  predictive model
本文献已被 CNKI 万方数据 等数据库收录!
点击此处可从《北京航空航天大学学报》浏览原始摘要信息
点击此处可从《北京航空航天大学学报》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号