首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   5篇
  免费   0篇
航天技术   1篇
航天   4篇
  2018年   1篇
  2010年   1篇
  2009年   2篇
  2002年   1篇
排序方式: 共有5条查询结果,搜索用时 6 毫秒
1
1.
一种曲面数控加工干涉检查的新方法   总被引:1,自引:0,他引:1  
提出了一种干涉检查的新方法,通过计算刀具曲面和加工曲面之间的最短距离,判断是否发生干涉。曲面间最短距离利用跟踪算法实现,该算法分析了最短距离连线性质,利用曲面的微分特性,确定跟踪方向和步长,迅速计算出最短距离。通过实验仿真,证明该算法简便、高效。  相似文献   
2.
基于WEB技术的实验预约平台已经成为各高校开放实验室广泛采用的一种提高实验室管理水平和实验资源利用率方法.本文提出的基于GSM Modem技术的开放实验室短信服务平台能够突破传统预约方式时间、空问上的限制,进一步提高实验资源的利用率,具有良好的应用前景和推广价值.  相似文献   
3.
浅析如何在电工电子实验教学中培养学生的创新能力   总被引:1,自引:0,他引:1  
电工电子实验是系统学习电工电子实验的基础理论、基本方法和基本技能的综合性实验课程.在培养学员的科技创新素质、实验研究能力、工程实践能力、分析和解决实践问题的能力等方面具有重要的作用.在整个教学过程中,应重点培养学生理论与实践相结合的感知、认知能力,使他们在动手操作能力、分析问题和解决问题能力等方面有全面的提高,重点培养学生的创新能力.  相似文献   
4.
本文回顾了院校本科学员科技创新竞赛活动的开展过程,总结了经验体会,指出了存在的问题,并对今后如何更好地开展此类活动提出了改进建议。  相似文献   
5.
随着处理器的系统结构日趋复杂,设计空间呈指数式增长,并且软件模拟技术极为费时,成为处理器设计的重要挑战。提出了一种结合集成学习和半监督学习技术的高效设计空间探索方法。具体而言,该方法包括2个阶段:使用均匀随机采样方法从处理器设计空间中选择一小组具有代表性的设计点,通过模拟获得性能响应,从而组成训练数据集;提出基于半监督学习的AdaBoost(SSLBoost)模型预测未模拟的样本配置的响应,从而搜索最优的处理器设计配置。实验结果表明,与现有的基于人工神经网络和支持向量机(SVM)的有监督预测模型相比,SSLBoost模型能够使用更少的模拟样本构建出不差于现有方法性能的预测模型;而当模拟样本数量相同时,SSLBoost模型的预测精度更高。   相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号