首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   2717篇
  免费   240篇
  国内免费   137篇
航空   1281篇
航天技术   669篇
综合类   287篇
航天   857篇
  2024年   27篇
  2023年   111篇
  2022年   121篇
  2021年   148篇
  2020年   106篇
  2019年   88篇
  2018年   32篇
  2017年   42篇
  2016年   76篇
  2015年   74篇
  2014年   102篇
  2013年   119篇
  2012年   162篇
  2011年   173篇
  2010年   153篇
  2009年   168篇
  2008年   179篇
  2007年   177篇
  2006年   153篇
  2005年   158篇
  2004年   122篇
  2003年   136篇
  2002年   80篇
  2001年   84篇
  2000年   61篇
  1999年   45篇
  1998年   45篇
  1997年   36篇
  1996年   20篇
  1995年   25篇
  1994年   16篇
  1993年   8篇
  1992年   17篇
  1991年   8篇
  1990年   6篇
  1989年   7篇
  1988年   2篇
  1987年   6篇
  1981年   1篇
排序方式: 共有3094条查询结果,搜索用时 56 毫秒
181.
为了提高拦截精度并减少燃料消耗,研究了动能拦截器KKV(Kinetic Kill Vehicle)比例末制导律下末制导启控点的选择问题.定义了末段制导启控时的期望位置;通过BP(Back Propagation)网络研究期望位置和目标弹道角之间的非线性关系;利用训练后的网络输出期望位置,仿真实验证实期望位置下启控末制导,可以有效地保证拦截精度并减少末制导过程的燃料总消耗.利用该方法获得的期望位置可以实时指导KKV在中末制导交班段调整自身位置.   相似文献   
182.
以实现对海上多平台传感器资源进行自动或半自动的协调管理控制为目标,设计了传感器管理与控制系统的功能结构,对组成系统的传感器信息管理、传感器组织管理、收发通信管理、传感器控制管理和传感器综合效能分析等功能模块进行了描述,采用基于效能函数的多传感器管理算法实现了传感器的任务规划与资源分配,并采用"集中管理,分散控制"的方式进行海上多平台传感器的管理与控制。  相似文献   
183.
平均轨道要素在卫星轨道设计及星座仿真中具有非常重要的作用。在综合国内外现有研究成果的基础上,给出了一整套简单实用、没有奇点的密切要素与平均要素间的相互转化方法,用此法进行的星座设计及仿真工作表明该方法非常有用。同时给出了利用平均轨道要素得以的关于赤道平面圆轨道的一个有意义的结论。  相似文献   
184.
“我们必须以革命性进展的战争科技来建军,……我承诺建立一支未来的武装力量,不以规模大小,而以更机动和敏捷来定义,理易于部署和保障,并侧重于隐形,精确武器和信息科技”——美国总统乔治W布什。2001.5.21  相似文献   
185.
针对网络控制应用中的延迟问题,通过将传输延迟与网络接口合并到一起,建立了一种新模型:接口延迟模型,然后给出了接口延迟的求解方法,并对接口延迟在实际应用中的各种有关性质进行了深入的研究.接口延迟模型的建立,使得网络控制的研究可以从具体的传输网络中脱离出来,从而可以直接围绕可测的接口延迟研究网络控制系统.   相似文献   
186.
小卫星及其星座的智能自主控制系统   总被引:1,自引:0,他引:1  
随着小卫星及其星座技术的发展 ,卫星系统的测控和运行管理模式将由地面遥测遥控方式向智能化自主方式发展。文中系统深入地分析了小卫星及其星座技术的特点和主流发展趋势 ,讨论了对小卫星及其星座实施智能自主控制的必要性、优越性等方面的问题 ,给出了一种将多Agent系统技术与混杂控制系统理论方法相结合 ,以综合集成方式实现的具有高度自主性和灵活性的智能自主控制系统的设计方案 ;论述了可行的优化策略与技术实现途径。最后 ,按照文中提出的智能自主控制系统的组织结构模式和相应的控制策略 ,针对小卫星编队构形自主保持的智能控制问题 ,给出了计算仿真结果  相似文献   
187.
航天简讯     
□□W-3A卫星是由阿斯特留姆(Astrium)公司为欧洲通信卫星公司(EUTELSAT)研制的通信卫星。该卫星定位在7°E,已经开始提供运营服务。它是第1颗基于Eurostar-E3000卫星平台研制而提供商业服务的卫星。W-3A卫星在2004年3月16日由质子-M火箭发射,之后进行了6个星期的在轨测试。在4  相似文献   
188.
智能化战术任务管理系统研究   总被引:1,自引:0,他引:1  
从感知、评价、决策的认知过程研究了现代军机战术任务管理系统的结构、组成和功能,重点研究了态势评估和任务规划两个关键子系统.建立了基于贝叶斯网络和模糊逻辑推理的态势评估算法及威胁评估模型,采用贝叶斯网络对威胁级别进行了评估,运用模糊逻辑对各威胁源的相对重要性进行了推理,实现了对威胁源威胁级别和相对重要性的连续动态评估.研究了基于动态A*算法的战术飞机飞行路径在线实时规划问题,实现了态势评估与路径规划两子系统之间的集成.研究结果表明了该系统方案和算法的有效性,任务规划系统能够自适应战场态势的变化.  相似文献   
189.
面向网络化制造的数控车间组织模式   总被引:1,自引:0,他引:1  
分析了网络化制造的基本特征和内涵,提出了面向企业的网络化制造系统运行模式;在对某大型航空制造企业的数控车间调研与应用实施的基础上,针对其组织模式存在问题,采用分行企业和独立制造岛的思想建立了适合数控车间网络化、敏捷化制造的分形组织模型;针对车间原有生产模式、产品特性,提出了基于成组技术的数控车间生产单元和生产线的划分方法,建立了面向产品流程的机构组成规则和车间内部的服务支援规则.该模型、方法、原则对传统的离散制造企业具有普遍的适用性,现已成功地被该数控车间采纳.  相似文献   
190.
一种非线性系统集员辨识算法   总被引:2,自引:0,他引:2  
针对带有未知有界噪声的非线性动态系统的鲁棒辨识问题,提出了一种新的非线性动态系统的集员辨识算法.利用径向基函数神经网络的逼近能力,根据系统的输入输出数据,选用径向基函数神经网络对未知非线性系统建模.径向基函数神经网络的中心被确定之后,考虑到建模误差与系统噪声有界,利用径向基函数神经网络为参数线性模型的特点,使用参数线性集员辨识算法辨识径向基函数神经网络的输出权值.由于集员辨识算法所得到的是网络输出权值的集合估计,在系统运行过程中,可以很方便地利用所建模型预测实际系统的输出范围.仿真表明,集员辨识算法辨识网络的输出权值比最小二乘法较少的受未知动态系统噪声分布的影响.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号