首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   435篇
  免费   60篇
  国内免费   19篇
航空   214篇
航天技术   76篇
综合类   18篇
航天   206篇
  2024年   2篇
  2023年   7篇
  2022年   18篇
  2021年   22篇
  2020年   24篇
  2019年   21篇
  2018年   15篇
  2017年   14篇
  2016年   14篇
  2015年   22篇
  2014年   19篇
  2013年   20篇
  2012年   31篇
  2011年   38篇
  2010年   35篇
  2009年   21篇
  2008年   24篇
  2007年   31篇
  2006年   35篇
  2005年   23篇
  2004年   25篇
  2003年   14篇
  2002年   9篇
  2001年   2篇
  2000年   7篇
  1999年   4篇
  1998年   5篇
  1997年   5篇
  1995年   3篇
  1993年   1篇
  1992年   2篇
  1989年   1篇
排序方式: 共有514条查询结果,搜索用时 234 毫秒
371.
微机PCI总线接口的研究与设计   总被引:8,自引:0,他引:8  
首先介绍了PCI总线的特点。然后介绍了目前实现微机PCI总线接口可采用的一些方法以及这些方法各自的优缺点。最后根据作者的实践 ,给出了用CPLD自行设计PCI总线接口时硬件及软件方面应注意的一些问题。  相似文献   
372.
介绍了高速多进制编码扩频技术的基本特点和设计思路,着重讲述该技术在无线电通信系统中的实现方案,及通过应用于相应的硬件平台取得的设计效果。  相似文献   
373.
首先介绍了一种突发DS-QPSK系统的快速捕获算法;并采用Xilinx公司XC2V1500-5器件得到实现。其实现结构在器件上可稳定工作于200MHz处理时钟。最后分析了该实现结构的处理能力以及与其它实现结构的性能比较。  相似文献   
374.
常用雷达视频信号处理算法在FPGA上的实现   总被引:1,自引:1,他引:0       下载免费PDF全文
采用FPGA技术对雷达原始的视频信号进行求模、视频积累与恒虚警检测处理,克服了DSP处理速度有限、实时性差和ASIC器件灵活性差的问题。详细介绍了求模、视频积累以及恒虚警算法在FPGA芯片上实现的原理和过程,并结合仿真结果说明了利用FPGA进行雷达视频信号处理的优势。  相似文献   
375.
电子加速器在大型工业CT中的应用研究   总被引:2,自引:2,他引:0  
以大型工业CT 检测系统中的电子加速器 X 射线源为研究对象,分析了驻波电子加速器在大型工业 CT 应用中遇到的能量选择、张角的大小、能量空间分布问题;并从理论上分析研究了高能 X 射线在探测器之间的相互窜扰的影响,给出了高能 X 射线窜扰的校正方法。  相似文献   
376.
介绍了使用FPGA产生脉冲信号的方法,文中对脉冲信号的频率、脉宽、周期的控制方法、软件设计、仿真结果、硬件设计等进行了简要介绍.所设计的脉冲信号发生器经实际使用,得到了理想效果.  相似文献   
377.
为了解决雷达及电子对抗系统组网协同工作的问题,设计一种基于QPSK调制解调的高速无线数传链路,并对其进行仿真及FPGA硬件实现.利用QPSK调制信号功率集中、带外泄漏少、载波抑制的优点,将其设计成为组网系统的底层通信链路.该无线数传链路中频频率150MHz,传输信息速率为10Mbps,为了适应各子平台(站)相对运动的通信环境,在外加10kHz载波频偏的条件下进行蒙特卡洛仿真,在5dB信噪比条件下误码率达到10e-5的量级,接近理论值.  相似文献   
378.
为了验证X射线脉冲星导航算法在星载计算机环境下的实时性和适用性,设计了基于FPGA+DSP架构的X射线脉冲星导航原理样机,导航原理样机中的FPGA用于系统的逻辑控制以及光子数据的存储;DSP则用于将接收到的光子数据进行转换、脉冲折叠、脉冲数据互相关处理、数据插值以及最小二乘滤波等算法。最后搭建了X射线脉冲星导航地面半物理仿真系统,系统以光子到达时间残差作为观测量,结合卫星轨道动力学模型,基于滤波算法实现航天器的导航定位。仿真结果表明,导航位置误差优于10 km,速度误差优于1 km/s。  相似文献   
379.
星图质心提取的实时性研究   总被引:1,自引:0,他引:1  
樊晓宇  江浩 《航天控制》2011,29(5):48-52
数据更新率是衡量星敏感器性能的一个重要参数,高数据更新率对质心提取的实时性提出了更高的要求.FPGA(现场可编程门阵列)具有快速逻辑运算和并行处理结构的特点,针对这些特点对质心提取过程进行研究.使用FP-GA内部的高速存储器作为缓存,提高对数据的读取速度.将质心提取中的阈值分割、星点像素提取和质心计算3个过程进行并行处...  相似文献   
380.
BOC调制是新一代卫星导航系统中广泛采用的调制方式,在时域上具有多峰值特性,副峰的存在增加了捕获的难度。采用自相关副峰消除技术(ASPeCT)在原有的BOC码相关支路的基础上增加一条伪码相关支路,可以有效削弱码相位检测函数的副峰,避免误捕获,是一种性能良好的BOC信号捕获技术。提出一种采用二维并行快速搜索的在FPGA芯片中实现ASPeCT捕获技术的方案,可以显著缩短捕获时间。在理论分析和仿真验证的基础上,采用Verilog硬件描述语言在接收机FPGA+DSP平台上编程实现,在露天测试中,成功捕获到Galileo E1B卫星导航信号,并对ChipScope采集的数字中频信号的多普勒频率和码相位静态捕获结果进行验证,与同一段信号的Matlab仿真结果一致,证明所提出的技术方案正确合理可行。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号