首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   331篇
  免费   25篇
  国内免费   17篇
航空   213篇
航天技术   48篇
综合类   24篇
航天   88篇
  2024年   1篇
  2022年   4篇
  2021年   3篇
  2020年   5篇
  2019年   7篇
  2018年   8篇
  2017年   8篇
  2016年   17篇
  2015年   10篇
  2014年   17篇
  2013年   16篇
  2012年   25篇
  2011年   22篇
  2010年   17篇
  2009年   16篇
  2008年   22篇
  2007年   19篇
  2006年   22篇
  2005年   29篇
  2004年   22篇
  2003年   24篇
  2002年   16篇
  2001年   14篇
  2000年   5篇
  1999年   2篇
  1998年   5篇
  1997年   5篇
  1995年   1篇
  1994年   1篇
  1992年   2篇
  1991年   2篇
  1990年   4篇
  1989年   1篇
  1988年   1篇
排序方式: 共有373条查询结果,搜索用时 109 毫秒
321.
1553-429总线适配器测试软件的开发   总被引:1,自引:0,他引:1  
针对1553-429总线适配器的测试需求,讨论在Windows环境下软件测试适配器各项性能的实现方法。  相似文献   
322.
针对限时令牌网络消息传输的实时性问题 ,建立了网络和消息模型 ,指出影响网络实时性能的关键参数 ,并依据线性令牌传递网络 ( L TPB)的消息调度原则推导出其关键参数的数学表达 ,最后 ,对限时令牌网络典型代表光纤分布式数据接口网络 ( FDDI)和 L TPB的实时特性进行了分析比较  相似文献   
323.
简要介绍 ISA总线接口 ,并从硬件设计和逻辑设计方面详细阐述一种基于 CPLD芯片 isp L SI5 5 12 VA的 ISA总线接口通信协议的和 A/ D采集的实现。  相似文献   
324.
新一代运载火箭控制系统总线   总被引:1,自引:0,他引:1  
韦闽峰 《航天控制》2007,25(4):92-96
介绍了目前各种高速数据总线。主要包括火线、航天光纤数据总线、SpaceWire,光纤通道、FDDI,ATM,以太网等。对比了这些总线的特点和性能,并根据火箭控制系统的性能要求,对这些总线进行筛选。最终确定光纤通道作为新一代火箭控制系统总线的首选。  相似文献   
325.
卫星正常运行要求星载子网的数据传输管理需具备可靠性、安全性与实时性,能够在规定的时间内完成传输任务,因此需要设计一种合理的数据传输管理方案来满足要求。考虑到1553B总线的数据传输具备速率高、可靠性好和实时性强等优点,选取1553B总线作为星载子网的数据总线,并提出了一种适用的数据传输管理方案。方案合理设计了星载子网的消息结构、消息种类、组网方式、消息传输机制、消息传输流程、消息传输时隙等。最后通过搭建测试平台对传输管理方案进行测试,测试结果表明此方案传输速率高、误码率低、实时性强,可以满足要求。  相似文献   
326.
介绍了VPX标准及技术特点,提出了基于VPX总线架构的供配电系统组成和功能,并对各板卡的供电、控制、指令等进行分析,形成技术方案。实现了各功能板卡间的优化配置,开展了相关的试验验证。试验结果表明,该系统稳定可靠,具有广泛的应用前景。  相似文献   
327.
立方体纳卫星的发展及其启示   总被引:9,自引:3,他引:6  
介绍了立方体纳卫星的发展概况;对“震动卫星”、Cute系列、“加拿大先进纳太空实验”卫星和Coral卫星平台等典型项目进行了分析,重点对立方体纳卫星的任务、姿态与轨道控制、电源系统、通信系统、星载综合电子和可靠性等方面进行了总结。结合立方体纳卫星快速发展的原因,提出了以下建议:结合在轨服务、深空探测和新技术空间飞行演示试验等应用需求,发展微电子技术、微机电系统技术的航天应用、微卫星平台技术和标准化辅载荷发射接口技术。  相似文献   
328.
以国产BM3803为处理器,采用紧凑型外部组件互联cPCI(compact Peripheral Com-ponent Interconnect)总线作为内总线,通过研究爱特(Actel)公司的IP(Intellectual Property)核CorePCIF的网表级文件,用现场可编程门阵列(FPGA)实现了标准cPCI接口;考虑到空间应用的特点,结构上设计了专门的锁定机构,实现所有模块的统一锁定,同时减轻了结构质量。  相似文献   
329.
为保证星载1553B总线通信的可靠性,在忽略容性、感性阻抗的情况下,基于叠加定理对变压器耦合方式下总线接口电路建立了等效模型,计算出接口变压器的等效输入阻抗,得出总线终端输入电压信号U。在假定双绞传输线理想状态下,利用软件分别对U与隔离电阻Rs、终端匹配电阻R,和传输线阻抗Rw之间的关系曲线进行了仿真,确定了相关电阻的选取范围。该模型的建立与仿真,为1553B总线接口设计提供了一种新的模型和验证方法,具有一定的工程应用价值。  相似文献   
330.
为了减小系统规模,有效降低成本,分析了CAMAC标准总线信号构成,用分离TTL逻辑电路产生了6类总线信号,实现了CAMAC总线控制单元简化设计,详细说明了硬件电路设计原理和软件子程序设计。该CAMAC总线控制单元可以由通用I/O接口实现总线的读写控制。应用结果表明,该设计稳定可靠,方便进行模件检测或搭建小型应用系统。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号