首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   658篇
  免费   43篇
  国内免费   14篇
航空   445篇
航天技术   69篇
综合类   28篇
航天   173篇
  2024年   1篇
  2023年   6篇
  2022年   11篇
  2021年   17篇
  2020年   14篇
  2019年   18篇
  2018年   8篇
  2017年   12篇
  2016年   17篇
  2015年   15篇
  2014年   26篇
  2013年   23篇
  2012年   31篇
  2011年   36篇
  2010年   34篇
  2009年   30篇
  2008年   36篇
  2007年   38篇
  2006年   47篇
  2005年   37篇
  2004年   39篇
  2003年   42篇
  2002年   30篇
  2001年   30篇
  2000年   13篇
  1999年   7篇
  1998年   10篇
  1997年   19篇
  1996年   15篇
  1995年   8篇
  1994年   11篇
  1993年   9篇
  1992年   8篇
  1991年   7篇
  1990年   3篇
  1989年   6篇
  1988年   1篇
排序方式: 共有715条查询结果,搜索用时 31 毫秒
31.
设计并实现了一种基于CAN总线的MCS自动测控系统,可以直接获取ECU产品中的核心数据,修改重要参数,并且可以更新ECU程序,为ECU的自主研发提供了检验支持。ECU系统的控制程序使用Ec lipse3.5.2+w indowbu ilder开发具有友好人机交互界面的MCS主机端平台控制软件,使得数据的显示控制以及解析更加直观简明。实测结果显示,MCS软件的设计与实现减少了ECU国产化的工作量,加快了ECU的研发进程。  相似文献   
32.
研究了在1553B总线通讯实验系统远程终端(RT)仿真中矢量字的处理方法。设计了矢量字的手动单个设置、自动更新和使能RT中断更新三种处理方法。详细分析了各种方法中数据传输的执行过程。由于实验系统中能够灵活地设置矢量字,因此可以实现对采用更新机制传输消息的通讯过程的仿真。  相似文献   
33.
针对卫星内高速数据通信现有的点对点LVDS线缆通信带来的线缆束缚、布局困难、成本增加的难题,提出一种基于脉冲超宽带的星载高速无线数据网络设计方案,介绍了脉冲超宽带收发机、高速无线网络协议和无线网络节点软硬件设计的关键技术.发射机采用阶跃恢复二级管(SRD)产生皮秒级脉冲信号;超宽带脉冲接收采用幅度检测方法;无线高速网络协议设计参考美国军用数据总线标准MIL-STD-1553B协议,采用时分制指令响应机制,对1553B标准的许多指标进行扩展以适应UWB无线信道和高速传输特点,并通过FPGA成功实现.测试显示,地面演示系统应用层数据传输误码率小于10-9,表明了基于脉冲超宽带的星载高速数据网络设计的可行性和可靠性.   相似文献   
34.
《航天器工程》2017,(4):74-79
在航天器中,1553B总线控制器(BC)作为总线信息交互的管理中枢,其可靠性直接关系航天器的系统安全。近年来,受单粒子事件的影响,多个航天器在轨发生总线芯片RAM损坏的故障。文章针对此问题,结合总线芯片BC模式的典型应用场景进行分析,提出RAM故障诊断的策略和自主分区故障处理的方法。该方法能够使BC端软件通过诊断自主发现故障,定位故障区域,并通过替换、隔离等手段排除故障,消除故障对航天器的影响。通过软件仿真,对故障诊断和处理的性能进行了试验验证,结果表明:采用该方法的软件能够自主发现故障区域并完成隔离和替换,且其处理的准确性和时效性都明显优于传统依赖地面遥控的方法。  相似文献   
35.
将多台计算机连接起来,共同完成单个的实时任务(如飞机仿真)是一项非常具有挑战性的工程任务.本文论述了计算机分布式仿真的一些独特要求,对目前使用的两种主要方法进行了总结,将共享内存网的概念和传统的方法进行了对比介绍,共享内存网的设计方法、性能参数以及详细的设计过程也有论述.  相似文献   
36.
介绍了逻辑分析仪在总线数据测试中的优势,结合修理中使用逻辑分析仪解决的具体故障案例,进一步阐述了逻辑分析仪运用于机载电子设备修理中所能提供的帮助.  相似文献   
37.
提高长线传输数字波形质量的技术措施   总被引:2,自引:0,他引:2  
从两方面论述数字编码波形在长线上传输的影响因素及其改善措施。通过分析并经实验表明,长线的分布电容影响通常居诸因素之首位。改进长线驱动电路,在一定程度上,可改善其传输波形之质量。另外,可利用长线传输波形的同时,还传输供总线接口电路需要的电源能量,满足总线浮空隔离的要求,有效地抑制了共模干扰。此项技术适用于远距多路串行总线的数据采集系统。  相似文献   
38.
本文重点介绍了教学评价系统的前端测评器的软硬件开发技术。测评器主要由三部分组成:1、客户端(AT89C51);2、主控端(AT89C52);3、计算机端(VC++编写界面)。主控端通过串行总线与所有客户端通信。读入客户端数据存放在主控端的RAM中。主控端与计算机ISA总线相连,将主控端RAM中的数据送到计算机中去,然后由VC++处理数据,最后计算机以文字、图形、声音的形式反映各个客户端的信息。测评器主要应用于中小学课堂、娱乐、投票、选举等方面,实现选择及抢答等功能。  相似文献   
39.
为满足高度综合化发展对嵌入式处理系统交联信号的多样性要求,提出了一种基于PCI Express总线架构的多功能接口模块的设计实现方案。采用一片FPGA作为逻辑设计芯片,实现了包括FC、RS422、ARINC429、USB、IDE等多种接口,满足了综合化发展对模块功能、功耗和重量等要求,可通用于各类综合处理系统接口设计中。  相似文献   
40.
图形化语言在工程测量中的应用   总被引:2,自引:0,他引:2  
本文结合指挥调度设备测试系统介绍了图形化编程语言(HPVEE)的功能、特点以及在工程测试中应用的几个问题。HPVEE也是VXI系统最好的编程软件平台,文中所论述的问题可作为VXI系统的借鉴。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号