首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 93 毫秒
1.
阐明宇航元器件标准验证需求分析,研究验证项目的确定和验证流程逻辑结构的建立,分析验证流程的属性和特征,提出一套验证流程设计和优化的方法,对宇航元器件标准验证流程的建立过程进行剖析,给出两大类标准的验证流程框图。  相似文献   

2.
深入分析了影响FPGA功能验证效率和质量的各项因素,改进了传统FPGA软件验证平台的搭建方法,阐述了FPGA软件自动化验证平台搭建技术、基于Perl语言的Testbench自动生成技术、受约束的随机激励生成技术、接口时序自动侦错技术、SVA断言以及基于VBA宏的管脚配置自动检查技术等6种改进策略。这些自动化技术将功能验证中程式化和标准化的工作交由工具完成,提高了验证平台搭建和仿真结果检查的效率。  相似文献   

3.
结合深空探测项目研制任务研究遥控数据接收处理电路FPGA片上容错设计技术。在研究航天器遥控数据接收处理电路数据模型的基础上,提出遥控数据接收处理电路FPGA片上小粒度自主备份容错设计方法;应用此新方法进行遥控指令通道FPGA设计优化;针对FPGA缺陷成团性,进行遥控指令通道FPGA布局优化,最终设计出能够自主容错,容错能力更强,可以应对缺陷成团性影响的新一代遥控指令通道FPGA。这一FPGA的实现,验证了文中提出的新方法,也为未来深空探测项目、微小卫星等提供新的遥控产品。  相似文献   

4.
《航天器工程》2016,(5):19-24
为了验证地球同步轨道合成孔径雷达(GEO SAR)的成像机理,采用倾斜地球同步轨道(IGSO)北斗导航卫星作为照射源,通过地面接收北斗导航卫星信号和地面反射的回波信号,实现对GEO SAR成像机理的等效性验证。北斗导航卫星具有与GEO SAR卫星相似的轨道,地面接收的信号可以等效成单程传播的GEO SAR回波信号;成像处理采用与GEO SAR相同的合成孔径时间,在合成孔径时间内地面反射的北斗导航卫星信号具有与单程传播的GEO SAR回波信号相似的距离徙动特性和多普勒特性,可实现长合成孔径时间条件下GEO SAR成像机理的验证。通过双基地试验实现了应用北斗导航卫星信号的GEO SAR成像机理的等效性验证。  相似文献   

5.
SRAM型FPGA空间应用的抗单粒子翻转设计   总被引:2,自引:1,他引:1  
SRAM型FPGA容易受到空间辐射环境引起的单粒子翻转(SEU)的影响,造成FPGA逻辑错误和功能中断,因此空间应用时必须对其进行抗单粒子翻转加固设计,提高其空间应用的可靠性。文章综述了几种FPGA抗单粒子翻转的设计方法,包括三模冗余设计、动态刷新设计和动态部分可重构设计等。利用构建的测试系统,验证以上多种FPGA抗单粒子翻转设计方法的工程可实施性。  相似文献   

6.
介绍了SpaeeWire节点软核在现场可编程门阵列(Field Programmable Gate Array.FPGA)设计与实现中的难点及时钟域划分和模块划分,并针对Virtex-5系列FPGA的特点给出了相应的实现方式.文章设计的SpaceWire 软核在Virtex-5系列FPGA数传电路板上实现了与Space...  相似文献   

7.
FPGA宇航应用全流程保证技术   总被引:1,自引:0,他引:1  
文章分析了FPGA的结构特点,结合宇航型号研制流程和国内近年来元器件保证技术的研究和工程实践,提出了FPGA宇航应用保证流程和保证的内容,针对其中的器件测试、封装工艺鉴定、开发验证和确认、单粒子效应减缓措施等关键技术进行了深入研究。文章提出的相关技术可以作为FPGA宇航应用评价和保证的参考。  相似文献   

8.
中国空间站的建立为国产先进制程芯片提供了真实的在轨飞行验证条件。为实现不同种类、不同型号国产先进制程电子元器件在空间辐射环境中的验证,设计了一种通用的在轨飞行验证系统。系统采用“主控单元+试验单元”的平台架构,运用在轨可更换模块和可重构的系统设计,支持航天员定期在轨更换试验模块以完成验证任务的在轨升级。文中给出系统的硬件设计、软件数据管理机制以及在轨飞行验证结果。结果表明,该系统设计有效,成功完成了16 nm FinFET、28 nm亿门级FPGA、高速DAC等10类20余款国产先进制程芯片的在轨工作监测,可为国产先进制程芯片空间适用性研究提供参考。  相似文献   

9.
操安博  林秋红  邱慧  李潇  刘志全 《宇航学报》2023,(11):1777-1784
针对Hart-Smith板壳粘接缝模型不适用于空间薄膜结构粘接缝的问题及空间薄膜结构高精度设计中粘接缝二维模型缺失的问题,开展了空间薄膜结构粘接缝模型的建立与验证工作。首先基于Kirchhoff板理论建立了空间薄膜结构粘接缝的三维模型,计算了粘接缝内部剪应力和剥离应力分布;其次,将三维模型映射到二维,建立了薄膜粘接缝二维等效模型,给出了二维等效模型的杨氏模量和强度的计算方法;最后,对薄膜粘接缝二维等效模型进行了仿真校验,仿真结果表明,根据二维等效模型求得的杨氏模量误差为4.5%~11.8%,且该误差与粘接缝宽度正相关。仿真校验证实了粘接缝的主要失效模式为剪切,且粘接缝的等效强度不受粘接缝宽度影响。当粘接缝宽度为5 mm时,二维等效模型比Hart-Smith模型求得的等效强度误差减小了29.2%。薄膜粘接缝二维等效模型为空间薄膜结构高精度设计提供了参考。  相似文献   

10.
针对宇航元器件应用验证的特殊性,对宇航元器件应用验证集成质量管理信息系统框架开展了初步研究。阐述了构建集成质量管理信息系统的必要性,分析了应用验证质量管理工作的内容和特点,具体研究了集成质量管理信息系统的构成要素和信息流程。采用过程、工具、知识、信息有机集成的设计思路,进行了质量策划、质量综合管理、验证过程质量管理与控制以及质量评估与改进的框架设计。  相似文献   

11.
郭达维  贺媛媛  岳振江  康杰  刘莉 《宇航学报》2020,41(12):1490-1498
为解决概念设计中可重构航天器结构动特性快速评估问题,考虑接触、摩擦等因素影响,提出一种动特性快速预示方法。该方法针对典型可重构航天器特点,处理流程包括动特性分析与辨识、基于虚拟材料的模型等效及等效参数估计,实现了精细化模型的等效替代。仿真校验中,利用本文方法对构型设计方案进行了预示,在所得结果能较为准确反映结构动特性的前提下,有效提高了分析效率。该方法能够保障可重构航天器概念设计工作,并为构型方案的设计迭代与优化提供实现基础。  相似文献   

12.
针对高速集成VPX架构的航天产品研制需求,开展了VPX压接连接器的选用必要性分析、特点介绍、鱼眼端子结构设计和合理的PCB工艺方案设计,完成了高速集成VPX架构的工艺控制流程,开展了PCB孔径尺寸验证、连接器接触阻抗验证、连接器试验样品补充50次插拔试验、结构样机的试验验证和在轨应用验证等工作,结果表明:采用文章所述的PCB工艺方案和VPX架构的工艺控制流程,成功研制并在轨应用了某星载高速集成VPX架构数传智能处理器,充分验证了VPX压接连接器可以满足星载高可靠要求。未来,采用更高速率的VPX压接连接器,通过合理的航天产品工艺方案,研制更高速度和更高集成度的航天产品,进一步提升产品的功能性能。  相似文献   

13.
本文提出了一种基于VHDL描述、FPGA实现的模糊PID控制器的设计,使用自顶向下的设计流程完成了控制器的VHDL设计,并在一个具体的FPGA芯片上实现了该控制器。由于采用了模糊自整定参数技术和增量式PID算法,本设计既降低了FPGA的资源耗费,又改善了传统PID控制器的控制性能。  相似文献   

14.
本文提出了一种基于VHDL描述、FPGA实现的模糊PID控制器的设计,使用自顶向下的设计流程完成了控制器的VHDL设计,并在一个具体的FPGA芯片上实现了该控制器.由于采用了模糊自整定参数技术和增量式PID算法,本设计既降低了FPGA的资源耗费,又改善了传统PID控制器的控制性能.  相似文献   

15.
文章介绍了单片宽带实时频谱分析芯片的设计原理和方法,并在FPGA上进行了原型验证。设计中采用了基于多相滤波器组的下变频方案,并对下变频后每一路数据进行重叠加窗处理。为了减小芯片面积,对后端的FFT分析模块进行了优化设计,提出了将两条蝶形运算级合并为一条流水线的新型FFT设计构架。该设计可以不间断地处理数据,从而实现信号的无缝捕获。  相似文献   

16.
分析了冲压发动机喷油燃烧引起内流道内正激波运动的机理,采用一维激波捕捉方法,建立了燃油喷入对正激波运动位置影响的一维仿真模型。通过仿真发现:喷入燃油并逐步增大燃油-空气当量比时,正激波逐步向上游运动;燃油-空气当量比越大,正激波越接近进气道喉道;当燃油-空气当量比增大到一定程度时,正激波距离进气道喉道最近,但并未越过喉道;进一步增大燃油-空气当量比,正激波开始向下游回退进一步分析发现:冲压发动机流道及燃烧组织匹配设计直接影响到正激波在流道内的运动位置,需要在设计中格外重视。燃油-空气当量比与激波位置的关系分析可为冲压发动机设计提供一定的理论参考。  相似文献   

17.
分析在系统设计和具体实现之间确定转换标准的重要性 ,并介绍用 System View软件实现 FPGA (Field Programmable Gate Array,现场可编程门阵列 )设计的具体流程及将此功能应用于 FIR滤波器设计的实例  相似文献   

18.
随着现场可编程逻辑器件(Field Programmable Gate Array,FPGA)验证水平的逐渐提高,对于测试平台(Testbench)中产生的时钟激励也提出越来越高的要求。目前,在Testbench中使用的时钟激励模块都是具有固定周期和固定占空比的激励信号,然而在现实条件下,时钟沿都具有一定的抖动,从而影响到时钟的占空比及瞬时频率。为了模拟时钟的这种实际特性,文章提出了一种基于伪随机算法实现的沿跳变的时钟激励模块,并将该模块用于高速计数器设计的实现验证,取得较好的验证效果。  相似文献   

19.
高速传输图像压缩系统设计与实现   总被引:2,自引:0,他引:2  
介绍一种高速传输图像压缩系统的设计和硬件实现方案。编码方法用一种改进的 SPIHT压缩编码算法 ,基于一片十万门 FPGA实现压缩核心算法的硬件。该系统目前已经实现了对帧尺寸为 1 2 8× 1 2 8,8bpp,帧频为 1 0 0 f/s的连续图像进行高速实时压缩 ,若作优化还可达到更高的帧频 ( >1 5 0 f/s)  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号