首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于CPLD的循环冗余校验码的实现
引用本文:刘培培.基于CPLD的循环冗余校验码的实现[J].北华航天工业学院学报,2009,19(1).
作者姓名:刘培培
作者单位:河北工业大学,天津,300130;北华航天工业学院,电子工程系,河北,廊坊,065000
摘    要:本文介绍了利用VHDL语言,在MAXPLUSⅡ平台上,使用CPLD 实现串行、并行两种信源方式的CRC码的设计及其模型验证结果.无论是串行,还是并行的信源要想实现CRC码设计必须建立校验、纠错两个模块,完成数据传输中的差错控制.同时在用硬件实现CRC码传输的过程中,比较了串、并两种方式的优缺点.

关 键 词:循环冗余校验码  差错控制

The Design of CRC Based on CPLD
LIU Pei-pei.The Design of CRC Based on CPLD[J].Journal of North China Institute of Aerospace Engineering,2009,19(1).
Authors:LIU Pei-pei
Abstract:
Keywords:CPLD  CRC
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号