首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到15条相似文献,搜索用时 968 毫秒
1.
航天器综合电子系统通用功能集成并芯片化是目前航天器电子系统的发展趋势. 针对中国航天器电子系统小型化、综合化的应用需求,提出一种面向航天器综合电子的ASIC芯片设计方案,分析了ASIC芯片设计中的关键技术,包括芯片系统工作模式、IP核的开发应用、可靠性和低功耗设计,1553B简易终端控制模式是芯片的技术特色和典型应用. ASIC芯片的功能设计、系统仿真验证、FPGA验证和物理设计均已完成,进入流片状态. 芯片的FPGA验证结果证明了芯片设计的有效性和可靠性. ASIC芯片旨在达到国军标548S的要求,应用场景是航天器内数据总线接口单元和遥测遥控.   相似文献   

2.
1553B总线以其可靠性高、实时性好的优点被广泛应用于航天领域.针对目前中国采用进口芯片实现1553B通信存在的弊端,这里采用FPGA来实现1553B通信.当前1553B解码器只支持正负信号同时输入.本文对解码器进行改进,实现支持只正端信号输入、只负端信号输入和正负端信号同时输入三种模式.根据1553B编码器和解码器的设计过程和工作原理对所提方案进行测试.结果表明,本文设计方案与采用进口芯片的方案相比,测试结果一致性良好.经过大量测试,这里设计的具有自主知识产权的1553B IP核运行稳定,能够满足航天工程化的要求.   相似文献   

3.
摘要: 国内外航天器姿轨控系统广泛应用基于1553B内总线的体系结构.针对国内航天器姿轨控系统内部1553B总线数据协议不统一带来的可集成性、可扩展性和通用性差的问题,本文设计了基于ECSS标准的姿轨控系统内1553B数据总线协议,从姿轨控系统内部总线数据业务需求分析出发,提出了四层结构的数据协议体系结构,重点介绍了在应用支持层和链路服务层应用ECSS标准的总线协议设计,并说明了协议的应用情况.应用该国际通用的标准协议,有助于实现国内航天器姿轨控系统内1553B总线数据协议的标准化,进而提升姿轨控系统体系结构的可集成性、可扩展性、以及星载设备(含软件)的通用化.  相似文献   

4.
MIL-STD-1553B数据总线基带电缆传输系统是实现总线控制器(BC)与远程终端(RT)之间进行信息传输的通道,它对整个系统的传输质量和通信的可靠性都起着十分重要的作用,同时它也直接影响电磁兼容性等有关的技术指标,要保证足够低的误码率和实现高可靠性的数据通信,合理地选取信噪比的数值这是尤其关键的,它取决于正确的信噪比与 码率的关系,由于现有文献的误码率计算是基于抽样判决方式所得出的,它不适用于象采用幅度判决方式的这类数字传输,故需要寻找一种新型的误码率计算方法。在本文中,我们对1553B数据总线的误码率进行了新的分析和计算,给出了合理的信噪比与误码率的关系曲线。本文的研究结果对1553B总线收发器的设计具有实际的指导意义,可供总线电缆传输系统设计人员参考。  相似文献   

5.
运载火箭控制系统采用1553B总线、按照预先设计的调度表传输周期性消息。针对当前总线消息调度表的设计方法对负载均衡考虑较少的缺陷,在构建1553B总线消息周期调度表数学模型的基础上,引入遗传算法进行最优化设计。该算法将负载率参数的多目标优化问题转换为遗传个体适应度的单目标优化问题,采用“精英保留”遗传策略,有效避免了个体退化,算法稳定高效。数学仿真计算结果表明,总线消息周期调度表求解高效,负载均衡大幅提高,验证了优化设计方法的有效性。  相似文献   

6.
分析了超大规模集成电路(VLSI)专用芯片COM1553 B的功能和接口信号,并论述用COM1553 B设计总线接口单元(BIU)的方法。给出结构框图和直接存储器存取(DMA)方式交换信息的设计思想。按此方法设计的BIU,具有通用性好、可靠性高和良好的软件接口的特点。  相似文献   

7.
为了优化1553B总线传输性能,降低总线消息传输的等待时间,提高总线带宽利用率和紧急消息响应的实时性,对1553B总线调度方法进行了研究,将周期性调度和抢占式调度策略相结合,设计了一种基于时间触发的1553B总线实时调度方法。在时间触发的基准下按优先级对总线消息进行集中调度,总线帧在总线上传输的时间内,处理器无需等待,可以继续处理其他任务。利用总线数据区分区的特性,设计了紧急消息打断普通总线消息传输的抢占式调度策略,避免了非重要数据较长时间占用总线通信信道,使得紧急消息能够快速响应。试验结果表明,该调度方法实现了总线消息尽最大能力传输,不仅能够较大幅度地提高1553B总线传输效率和带宽利用率,而且紧急消息的响应时间稳定在2ms以内,为紧急消息的实时响应提供了保证。  相似文献   

8.
推进功能的正常实现为空间站长期在轨运行提供重要保障。1553B通信系统作为推进功能的重要组成部分,承担着推进系统与外界进行数据交互的任务,其可靠性设计至关重要。为提高1553B通信系统可靠性,分别对包含数据隔离芯片在内的远程终端及其处理器进行双余度冗余设计。本文通过建立故障树对1553B通信系统可靠性进行分析,并引入平均无故障时间、可靠度等定量指标,基于马尔科夫链建立可靠性模型进行定量计算。结果表明,1553B通信系统平均无故障时间增加1.947倍,可靠度增长3.89%,故障概率则下降超98%,由此验证了双余度冗余设计能够有效地提升1553B通信系统的可靠性。  相似文献   

9.
星间数据传输体制要求星载设备数据传输具备实时性、高精确性,在数据传输过程中必须保持节拍同步。相关星载设备在上天前要进行严格的数据流测试以保证满足要求,因此在地面搭建数据流测试系统有重大的意义。本文通过对1553B总线仿真卡进行二次开发,完成了地面测试系统的设计。对相关星载设备的测试结果表明,该系统实现了1553B总线中的BC-RT(总线-远程终端)、RT-BC(远程终端-总线控制器)、RT-RT、MT(监视终端)传输模式,完成了限定时间内规定数据量的正确传输,保证了数据传输节拍的同步,确保了对相关星载设备的数据流测试。该系统不仅能够满足相关星载设备的测试需求,而且可以作为一种通用的数据流测试平台,较好地提供了1553B总线数据级的测试手段。  相似文献   

10.
首先对当前星载计算机系统在高轨卫星领域的应用现状进行了分析,主要涉及处理器最小系统的存储器设计、数据共享及总线协议设计等。针对上述3个方面存在的不足,提出了处理器最小系统存储器优化设计方案,解决了存储器应用与选型的困境;采用“存储器+FPGA电路”的设计方法,实现了主备机数据共享;提出了一种自适应总线协议设计方法,解决了1553B总线协议通用性较差等问题。提出的设计方法,在中国下一代大容量通信卫星平台的星务计算机系统中得以应用,并取得了较好的效果,为星载计算机系统后续优化设计工作提供了新的思路。  相似文献   

11.
卫星载荷总线数据流接口的实现对于卫星载荷数据流的传输体制能否正常工作起着关键性的作用,设计合理的数据流管理方案对于卫星载荷之间的正常通信至关重要。MIL-STD-1553B总线数据传输速率高,实时性好,具有合理的差错控制措施和特有的方式命令,适合星上大数据量的传输任务和特定环境的需求。本文使用龙芯配置卫星载荷1553B总线的工作模式,合理设计载荷数据流的传输形式,实现卫星载荷1553B总线的数据流接口。测试结果表明,数据接口可靠性高,实际应用效果好,满足卫星上的通信设计要求。  相似文献   

12.
为保证电容层析成像(ECT)系统满足航空航天领域多相流参数测试的要求,开发了一套基于CPCI工业总线标准的ECT系统。采用高性能现场可编程门阵列(FPGA)芯片作为主控芯片,实现信号激励模块、多路复用开关模块、数据处理模块、数据解调和传输模块的集成式设计,将采集信号进行预处理,实现信号的滤波、放大和相敏解调,并通过CPCI工业总线接口把解调后的电容数据传送至系统上位机,完成图像重建。实验测试结果表明:系统采用1 MHz激励信号和8电极传感器的工作模式下,采用10周期的测试信号解调时,图像采集速度可达1 785幅/s,信号的信噪比高于60 d B,成像结果具有良好的空间分辨率。  相似文献   

13.
基于CompactPCI的多路遥测信号模拟源的设计与实现   总被引:1,自引:0,他引:1  
对CompactPCI总线和其他主要的工业计算机总线进行了分析和比较,研究了基于CompactPCI总线的多路遥测信号模拟源的一些关键技术,并对多路遥测信号模拟源的底层软件接口进行了说明.基于CompactPCI总线平台的某卫星测控系统综合测试环境需要产生大量的传感器仿真信号作为遥测源,如果使用传统的采样保持电路和DA组合方式将难以在一个6U的CompactPCI板卡上实现多达128路的DA输出.围绕Analog Devices公司的8通道10?bits串行控制DA芯片AD5318,通过简单的接口电路直接实现与CompactPCI接口芯片连接,大大缩减了板卡上器件,成功的实现了128路的DA输出.同时,设计还在板卡上实现了一个以16?bits AD为核心的高精度反馈回路,以便对各通道的输出实现动态的监控和调整,保证了DA精度和验证遥测采集系统的性能.  相似文献   

14.
航天器电子设备的地面综合测试是航天器研制过程中的重要环节,对设备功能验证及性能评估具有重要作用.传统的地面综合测试系统可重用性差,导致研制周期长且人力、设备投入较大.嫦娥四号着陆器载荷电控箱的地面测试系统采用模块化、可重用和CPU+FPGA单机集成体系结构,是集供配电测试、1553B通信总线仿真测试、间接指令测试、异步串口通信测试、实时数据处理等功能于一体的综合测试系统,适用于航天器电子设备单板调试、单机测试、软件配置项测试以及环境模拟试验等不同类型的测试.通过嫦娥四号着陆器载荷电控箱各项接口、功能、性能指标等的测试,证明该系统满足支持设备单机调试、软件配置项测试、状态确认和问题排查等测试需求,有力支撑了嫦娥四号着陆器载荷电控箱的单机设备研发,为嫦娥四号着陆器任务实施提供了有效保障.   相似文献   

15.
IEEE1394 总线正逐步应用于航天电子系统, 因此对其容错性进行研究是非常必要的. 本文对IEEE1394总线的物理层与链路层接口部分在信号传输中可能存在的错误以及如何容错进行了研究, 重点分析了物理层控制双向总线时可能出现的各种错误, 并给出了链路层的容错方法, 这样可以在很大程度上提高网络系统运行的可靠性.   相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号